Salvador Canas
Published © MIT

A Practical Introduction to SRAM Memories Using an FPGA (II)

Let's write an efficient SRAM controller in Verilog.

IntermediateProtip2 hours6,257
A Practical Introduction to SRAM Memories Using an FPGA (II)

Things used in this project

Hardware components

Cmod A7-35T: Breadboardable Artix-7 FPGA Module
Digilent Cmod A7-35T: Breadboardable Artix-7 FPGA Module
×1

Software apps and online services

Vivado Design Suite
AMD Vivado Design Suite

Story

Read more

Credits

Salvador Canas

Salvador Canas

3 projects • 21 followers

Comments