Salvador Canas
Published © MIT

A Practical Introduction to SDR SDRAM Memories Using an FPGA

Let's learn everything about SDR SDRAM memories by writing a controller in Verilog.

IntermediateProtip3 hours8,192
A Practical Introduction to SDR SDRAM Memories Using an FPGA

Things used in this project

Hardware components

Papilio Pro
×1

Software apps and online services

Xilinx ISE

Story

Read more

Credits

Salvador Canas

Salvador Canas

3 projects • 21 followers

Comments