Thomas Hornschuh
Published © MIT

Running Embedded Lua on a Digilent Arty FPGA Board

This project combines eLua with an open source RISC-V CPU core to a powerful, self-hosted embedded platform for FPGAs.

IntermediateShowcase (no instructions)4 hours3,204
Running Embedded Lua on a Digilent Arty FPGA Board

Things used in this project

Hardware components

Arty A7-35T
Digilent Arty A7-35T
×1
Pmod SD
Digilent Pmod SD
optional
×1
Pmod USBUART
Digilent Pmod USBUART
optional
×1

Story

Read more

Code

Bonfire Root Repository

Bonfire Root Repository Serves as a parent project to instantiate all Bonfire sub-projects. It also acts as FuseSoC "cores-root". Required Toolset Bonfire relies on the following tools Xilinx Vivado (preferred Version 2018.1 or 2018.2) - for Xilinx Series 7 Devices Xilinx ISE 14.7 - for Spartan 6 Devices RISC-V GCC - preferably from GNU MCU Eclipse Embedded GCC Optionally GHDL for simulation FuseSoC as package manager and build tool Optionally a port of eLua is provided here: https://github.com/ThomasHornschuh/elua

Credits

Thomas Hornschuh

Thomas Hornschuh

1 project • 4 followers

Comments