Yeshvanth Muniraj
Published

PwFPGAs - Part 5 - Pong Game on DE0 Nano - Final Project

Prototyping with FPGAs - Final Project - Pong Game on DE0 Nano Development Board

AdvancedFull instructions provided6 hours1,582
PwFPGAs - Part 5 - Pong Game on DE0 Nano - Final Project

Things used in this project

Hardware components

Terasic DE0 Nano FPGA Development Board
×1
Rotary Encoder with Push-Button
Rotary Encoder with Push-Button
×1
Pmod VGA Module
×1
Jumper wires (generic)
Jumper wires (generic)
×1
Audio / Video Cable Assembly, VGA Plug
Audio / Video Cable Assembly, VGA Plug
×1
VGA Monitor
×1

Software apps and online services

Quartus Prime
Terasic System Builder

Story

Read more

Code

Testing VGA Project

Testing Rotary Encoder Project

Pong Game Project

Credits

Yeshvanth Muniraj

Yeshvanth Muniraj

19 projects • 32 followers
Hands-on experience in Embedded Systems and IoT. Good knowledge of FPGAs and Microcontrollers.

Comments